>> Products

PowerPro MGPowerPro MG

Benefits of PowerPro MG

  • Eliminates wasted dynamic and leakage memory power
  • Comprehensive, fully automated formal verification flow via SLEC Pro
  • Reduces power with little or no impact on timing or area
  • Produces new RTL that is identical to the original RTL with the addition of memory gating logic
  • Provides potential for additional power savings on non-memory parts of design
  • Eliminates error prone manual RTL changes
  • Optimized RTL fits seamlessly into existing RTL design flows
  • Power savings are cumulative and complementary to downstream tools

PowerPro MG automates memory power optimization.

Based on Calypto’s patented Sequential Analysis Technology, PowerPro MG is an automated memory power optimization solution that takes advantage of the low-power control options available in today’s on-chip memories to reduce both dynamic and leakage memory power with little or no impact to timing or area. PowerPro MG reduces dynamic power by automatically generating logic to control the memory enable signal to eliminate unnecessary memory accesses.  PowerPro MG reduces leakage power by automatically generating logic to control the sleep modes of individual embedded memories.

PowerPro MG reads in an RTL design and its corresponding memory models and generates new low-power RTL that looks identical to the original RTL with the addition of memory gating logic.  The new PowerPro MG generated RTL is comprehensively verified with Calypto’s SLEC Pro.  SLEC Pro is a Sequential Logic Equivalence Checking tool that guarantees functional equivalence between the PowerPro MG generated RTL and the original RTL.  No other solution provides this combination of automatic memory power optimization and formal verification.

PowerPro Analyzer is a powerful graphical visualization tool that provides a complete view of the PowerPro MG optimized design.   PowerPro Analyzer allows users to view power optimizations in the context of RTL source code, schematic display, sortable reports, and design hierarchy.  All design views are hyperlinked for rapid design navigation and analysis of the memory gating logic inserted by PowerPro MG.  This enables designers to have a detailed understanding of the optimizations PowerPro MG is performing.

PowerPro MG consistently produces better results, in orders of magnitude less time, than manual RTL memory gating. PowerPro MG saves both dynamic and leakage power by considering the sequential nature of the design to eliminate unnecessary memory operations.